diff --git a/.editorconfig b/.editorconfig new file mode 100644 index 0000000..ee879a9 --- /dev/null +++ b/.editorconfig @@ -0,0 +1,12 @@ +[*.ino] +end_of_line = lf +indent_style = space +indent_size = 4 +charset = utf-8 +insert_final_newline = true + +[*.ino] +trim_trailing_whitespace = true + +[*.md] +trim_trailing_whitespace = false \ No newline at end of file diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 0000000..6e949bb --- /dev/null +++ b/.gitattributes @@ -0,0 +1,4 @@ +* text=auto + +*.md text eol=lf +*.ino text eol=lf \ No newline at end of file diff --git a/README.md b/README.md new file mode 100644 index 0000000..8590d3e --- /dev/null +++ b/README.md @@ -0,0 +1,2 @@ +# FSI-Arduino +Diverse øvelser og oppgaver vi i 18IT-D har fått i Arduino-programmering. \ No newline at end of file