1
0
mirror of https://github.com/rwengine/openrw.git synced 2024-09-15 06:52:34 +02:00

Implement 018e

This commit is contained in:
Filip Gawin 2018-08-12 17:33:55 +02:00
parent 048839e000
commit 7b8e7a4e9f

View File

@ -4310,9 +4310,8 @@ void opcode_018d(const ScriptArguments& args, ScriptVec3 coord, const ScriptSoun
@arg sound
*/
void opcode_018e(const ScriptArguments& args, const ScriptSound sound) {
RW_UNIMPLEMENTED_OPCODE(0x018e);
RW_UNUSED(sound);
RW_UNUSED(args);
sound->stop();
}
/**